Introduction: Video Processing Using VHDL and a Zybo

About: Spanish Engineer studying in Austria Love on building and making new electronic staff or prgramming new staff. Blogging on www.misCircuitos.com

FPGAs are faster than CPUs to process, because they can make many calculations in parallel

Note: This project is still under construction and is going to be improved (as soon I have time). Meanwhile I am travelling the world.....

Step 1: Create a New Vivado Project

After opening Vivado, first you need to create a new project on your workspace you want to work in.

Later name your project and choose the project location.

Choose Zybo as a target board or another Zynq board you want to use.

Step 2: Create a Block Diagram With Basic

Add a new Zynq_Processing_system diagram.

Also add the IPs Dvi2rgb and rgb2vga

Additional to these blocks two constants are used to configure the HDMI port as a sink.

[Sorry, because of duplicate content this tutorial was removed from here... to the original websitewww.miscircuitos.com/video-processing-using-vhdl-and-zybo-board ]

Step 3: Insert a VHDL Resource

The user block should be created, for that press ctrl+A or search to "add a new source" in the left panel.

Create a new file with VHDL and add to the diagram and then edit the VHDL code.

[Sorry, because of duplicate content this tutorial was removed from here... to the original website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board ]

Step 4: Add Additional Onboard Perifericals Like Leds, Buttons or Sliders (optional)

To add some sliders and leds for later possible use.

[Sorry, because of duplicate content this tutorial was removed from here... to the original website www.miscircuitos.com/video-processing-using-vhdl-... ]

Step 5: Now You Can Insert Your VHDL Code on the Application Block

Once added the VideoProcessing module, To edit te code, press. An example of a starting code is attached

[Sorry, because of duplicate content this tutorial was removed from here... to the original website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board ]

Step 6: Create a Wrap

Make a wrap before for the synthesis of the block diagramm.

Step 7: Synthesis, Implementation and Bitstream Generation

Add the constraints pin descriptions. It is attached.

run the synthesis. Later the implementation and then generate the bitstream, which is going to be loaded to the FPGA.

Step 8: Export Hardware and Launch SDK

Export the Hardware and launch SDK.

Step 9: Create a New Board Support Package and a New Application

Create a board support package. Then open or create an application using the template "hello word".

Then run the FPGA

Step 10: Program FPGA and Run the Hello World Code

This is only an small example, where the color channels are exchanged.

Thanks!

[Sorry, because of duplicate content this tutorial was removed from here... to the original website www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board ]